Welcome![Sign In][Sign Up]
Location:
Search - PS2 keyboard verilog

Search list

[Other resourceps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出
Platform: | Size: 5306 | Author: 李志刚 | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-Verilogkeyboard_ps2_verilog

Description: 键盘鼠标的原代码,用FPGA实现,使用Verilog HDL编写,已经使用FPGA验正过了,完全可以用-keyboard and mouse of the original code, using FPGA, using Verilog HDL preparation, already in use FPGA-mortem is over, it can be used
Platform: | Size: 1480704 | Author: wpb3dm | Hits:

[VHDL-FPGA-Verilogps2_and_VGA_and_verilog

Description: ps/2键盘输入的字符在VGA上进行显示. 本示例是将ps/2键盘输入的字符在VGA上进行显示。在做此实验之前最好先学习PS2键盘接口。-ps/2 keyboard input of the characters in VGA on the show. This example is to ps/2 keyboard input characters VGA website on the show. Do this before the experiment started learning the best PS2 keyboard interface.
Platform: | Size: 51200 | Author: dragon | Hits:

[VHDL-FPGA-VerilogPS2keyboard_verilog

Description: 本实验利用PS2接口实现了与键盘通信,并将键盘的按键编码通过UART接口上传给PC的超级终端,通过超级终端来观察按键编码是否正确。 -experimental use of the PS2 interface with the keyboard communications, and keyboard buttons coding through UART interface to the PC upload the Super Terminal, Super Terminal through to observe the keys coding is correct.
Platform: | Size: 17408 | Author: dragon | Hits:

[VHDL-FPGA-Verilogps2_verilog

Description: ps2_键盘控制器源码verilog源码,是一个不错的代码-ps2_ keyboard controller Verilog source code, is a good code
Platform: | Size: 13312 | Author: 高鹏 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[SCMSource

Description: PS2键盘实验源代码,Verilog语言编写-PS2 keyboard experiment source code, Verilog language
Platform: | Size: 3072 | Author: zhan | Hits:

[VHDL-FPGA-VerilogPS2

Description: 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
Platform: | Size: 479232 | Author: cx | Hits:

[Other1

Description: *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital control verilog procedures, and debugging in the experiment above board success! FPGA is a long learning process, but I have to insist! A bright future, roads and rugged! In the garbage (I workbench drawers and cabinets below, huh, huh) search inside a previously C8051F020 minimum for a single-chip systems, which have a small number of PS2 keyboard, then immediately鼓捣, then review the PS2 data about the rules, in fact, relatively simple procedures so they get very quickly, and in the normal operation of the circuit board! ! !
Platform: | Size: 5120 | Author: nyw | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[VHDL-FPGA-VerilogLibra_ps2key_lcd

Description: 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。-Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module for the FPGA/CPLD system development process. This code is my development environment in Libra when written in Actel FPGA.
Platform: | Size: 6144 | Author: 赵二虎 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: FPGA PS2键盘驱动设计,使用软件QuartusII6.0 verilog-FPGA PS2 keyboard-driven design, the use of software QuartusII6.0 verilog
Platform: | Size: 832512 | Author: 张一 | Hits:

[VHDL-FPGA-Verilogverilog-PS2

Description: 在FPGA内,实现PS2键盘数据读取功能,verilog源代码-In the FPGA, achieving PS2 keyboard data read functions, verilog source code
Platform: | Size: 2048 | Author: niuqs | Hits:

[VHDL-FPGA-VerilogVisio-schemat_blokowy_niezawodno____

Description: ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 38912 | Author: Mat | Hits:

[Embeded-SCM Developps2

Description: PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
Platform: | Size: 601088 | Author: 洪依 | Hits:

[VHDL-FPGA-VerilogPS2_Demo

Description: ps2 keyboard verilog
Platform: | Size: 742400 | Author: kin | Hits:

[VHDL-FPGA-VerilogPS2

Description: 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
Platform: | Size: 9216 | Author: Evan Xie | Hits:

[VHDL-FPGA-Verilogverilog-PS2-Keyboard

Description: veirlog编写的PS2键盘通讯程序, 并有PS2接口的相关说明, Quartus II 8.1工程文件-veirlog written communication procedures PS2 keyboard, and a PS2 interface instructions, Quartus II 8.1 project file
Platform: | Size: 512000 | Author: Joseph | Hits:

[VHDL-FPGA-Verilogps2

Description: PS2 keyboard with verilog
Platform: | Size: 293888 | Author: farshad | Hits:
« 12 3 4 »

CodeBus www.codebus.net